This thesis addresses research works on the development and metrology of multilayer thin-film coatings designed for Extreme Ultraviolet (EUV) and soft X-ray spectral regions. While the development part is limited to reflective multilayers at 6.x nm wavelength, significant part of the thesis is devoted to the metrology of multilayers (MLs) in broader spectral range. The development part focuses on the design and fabrication of MLs of high reflectivity around 6.x nm wavelengths to support below 10 nm half-pitch (HP) patterning of the next generation EUV lithography and tabletop reflectometer for below 10 nm EUV sources. Specific activities under this topic include searching of new candidate materials, numerical design, deposition of the MLs, and performance testing at wavelengths below 10 nm. The second major topic of the thesis focuses on the comprehensive characterization of MLs using innovative approaches. Most challenging questions in ML coatings such as characterization of buried interfaces, determination of layer and interlayer thicknesses, uncertainties of optical constants near EUV and soft X-ray absorption edges are pressing issues. Synchrotron based Grazing-Incidence EUV Reflectivity (GI-EUVR) near absorption edges of one of the component elements in the ML structure is proposed as a major technique to achieve the metrology demands. The strength of GI-EUVR analysis of MLs lies on its simultaneous sensitivity to optical and structural parameters. The proposed EUV metrology method is also capable of evaluating damage analysis of high intensity photons and/or energetic particles on ML performances. In combination with traditional ML characterization techniques, the GI-EUVR enabled characterization of the most challenging buried–interface diffusion processes during multilayer growth. Analysis of chemical composition and corresponding optical properties of inter-diffusion layers are performed near Si LIII - edge for Mo/Si and near elemental boron (B) edge for B4C/CeO2 MLs to demonstrate the robustness of the proposed method. Changes of structural and optical parameters of MLs for applications in astronomical telescopes due to the bombardment of helium particles (4 keV He++ ) that are dominant in the solar corona can be analyzed using the current techniques. Lastly, the thesis deals with the development of tabletop scatterometer experiment around 13.5 nm EUV wavelength based on Xenon gas discharge produced plasma source. EUV scattering measurements at 13.5 nm central wavelength and 2% bandwidth can be simple and fast surface roughness analysis in the mid-spatial frequency range. Surface patterns in the mid-spatial frequency ranges are resolved in the scattered signals from multilayer surfaces.

Questa ricerca spiega tesi lavora allo sviluppo e metrologia di rivestimenti a film sottile multistrato progettate per Estremo Ultravioletto (EUV) e regioni spettrali raggi X molli. Mentre la parte di sviluppo è limitato a multistrati riflettenti a 6.x nm, parte significativa della tesi è dedicata alla metrologia multistrati (ML) in campo spettrale più ampia. La parte di sviluppo si concentra sulla progettazione e fabbricazione di ML di alta riflettività intorno 6.x nm lunghezze d'onda per sostenere sotto 10 nm half-pitch (HP) paterni della litografia EUV prossima generazione e da tavolo per riflessione inferiori a 10 nm fonti EUV. Attività specifiche per questo argomento includono la ricerca di nuovi materiali candidati, progettazione numerica, la deposizione delle ML, e test delle prestazioni a lunghezze d'onda inferiori a 10 nm. Il secondo grande tema della tesi si concentra sulla caratterizzazione completa di ML utilizzando approcci innovativi. La maggior parte delle domande impegnative nei rivestimenti ML quali la caratterizzazione di interfacce sepolte, la determinazione del livello e interstrato spessori, incertezze costanti ottiche vicino EUV e bordi di assorbimento di raggi X molli premono problemi. Sincrotrone basato Grazing Incidente - EUV riflettività (GI-EUVR) in prossimità di bordi di assorbimento di uno degli elementi componenti della struttura ML viene proposto come una tecnica importante per ottenere le richieste di metrologia. La forza di analisi GI-EUVR di ML si trova sulla sua sensibilità simultanea di parametri ottici e strutturali. Il metodo proposto EUV metrologia è anche in grado di valutare l'analisi dei danni di fotoni ad alta intensità e / o particelle energetiche sulle prestazioni ML. In combinazione con le tradizionali tecniche di caratterizzazione ML, la caratterizzazione GI-EUVR permesso dei processi di diffusione di interfaccia sepolto più impegnative durante la crescita multistrato. Analisi della composizione chimica e corrispondenti proprietà ottiche degli strati tra di diffusione vengono effettuate nei pressi Si LIII - bordo per Mo / Si e vicino elementare di boro (B) bordo per B4C / CeO2 ML per dimostrare la robustezza del metodo proposto. Modifiche di parametri strutturali ed ottiche di ML per applicazioni in telescopi astronomici a causa del bombardamento di particelle di elio (4 keV He ++) che sono dominanti nella corona solare possono essere analizzati usando le tecniche attuali. Infine, la tesi si occupa dello sviluppo di esperimento da tavolo dispersione intorno 13,5 nm di lunghezza d'onda EUV in base a scarica di gas Xenon sorgente del plasma prodotto. EUV misurazioni di dispersione a 13,5 nm lunghezza d'onda centrale e 2% della larghezza di banda può essere semplice e veloce analisi rugosità superficiale nella gamma di frequenze medio-spaziali.

Development and Metrology of Extreme Ultraviolet and soft X-ray Multilayer Mirrors / Sertsu, Mewael Giday. - (2016 Feb 01).

Development and Metrology of Extreme Ultraviolet and soft X-ray Multilayer Mirrors

Sertsu, Mewael Giday
2016

Abstract

Questa ricerca spiega tesi lavora allo sviluppo e metrologia di rivestimenti a film sottile multistrato progettate per Estremo Ultravioletto (EUV) e regioni spettrali raggi X molli. Mentre la parte di sviluppo è limitato a multistrati riflettenti a 6.x nm, parte significativa della tesi è dedicata alla metrologia multistrati (ML) in campo spettrale più ampia. La parte di sviluppo si concentra sulla progettazione e fabbricazione di ML di alta riflettività intorno 6.x nm lunghezze d'onda per sostenere sotto 10 nm half-pitch (HP) paterni della litografia EUV prossima generazione e da tavolo per riflessione inferiori a 10 nm fonti EUV. Attività specifiche per questo argomento includono la ricerca di nuovi materiali candidati, progettazione numerica, la deposizione delle ML, e test delle prestazioni a lunghezze d'onda inferiori a 10 nm. Il secondo grande tema della tesi si concentra sulla caratterizzazione completa di ML utilizzando approcci innovativi. La maggior parte delle domande impegnative nei rivestimenti ML quali la caratterizzazione di interfacce sepolte, la determinazione del livello e interstrato spessori, incertezze costanti ottiche vicino EUV e bordi di assorbimento di raggi X molli premono problemi. Sincrotrone basato Grazing Incidente - EUV riflettività (GI-EUVR) in prossimità di bordi di assorbimento di uno degli elementi componenti della struttura ML viene proposto come una tecnica importante per ottenere le richieste di metrologia. La forza di analisi GI-EUVR di ML si trova sulla sua sensibilità simultanea di parametri ottici e strutturali. Il metodo proposto EUV metrologia è anche in grado di valutare l'analisi dei danni di fotoni ad alta intensità e / o particelle energetiche sulle prestazioni ML. In combinazione con le tradizionali tecniche di caratterizzazione ML, la caratterizzazione GI-EUVR permesso dei processi di diffusione di interfaccia sepolto più impegnative durante la crescita multistrato. Analisi della composizione chimica e corrispondenti proprietà ottiche degli strati tra di diffusione vengono effettuate nei pressi Si LIII - bordo per Mo / Si e vicino elementare di boro (B) bordo per B4C / CeO2 ML per dimostrare la robustezza del metodo proposto. Modifiche di parametri strutturali ed ottiche di ML per applicazioni in telescopi astronomici a causa del bombardamento di particelle di elio (4 keV He ++) che sono dominanti nella corona solare possono essere analizzati usando le tecniche attuali. Infine, la tesi si occupa dello sviluppo di esperimento da tavolo dispersione intorno 13,5 nm di lunghezza d'onda EUV in base a scarica di gas Xenon sorgente del plasma prodotto. EUV misurazioni di dispersione a 13,5 nm lunghezza d'onda centrale e 2% della larghezza di banda può essere semplice e veloce analisi rugosità superficiale nella gamma di frequenze medio-spaziali.
1-feb-2016
This thesis addresses research works on the development and metrology of multilayer thin-film coatings designed for Extreme Ultraviolet (EUV) and soft X-ray spectral regions. While the development part is limited to reflective multilayers at 6.x nm wavelength, significant part of the thesis is devoted to the metrology of multilayers (MLs) in broader spectral range. The development part focuses on the design and fabrication of MLs of high reflectivity around 6.x nm wavelengths to support below 10 nm half-pitch (HP) patterning of the next generation EUV lithography and tabletop reflectometer for below 10 nm EUV sources. Specific activities under this topic include searching of new candidate materials, numerical design, deposition of the MLs, and performance testing at wavelengths below 10 nm. The second major topic of the thesis focuses on the comprehensive characterization of MLs using innovative approaches. Most challenging questions in ML coatings such as characterization of buried interfaces, determination of layer and interlayer thicknesses, uncertainties of optical constants near EUV and soft X-ray absorption edges are pressing issues. Synchrotron based Grazing-Incidence EUV Reflectivity (GI-EUVR) near absorption edges of one of the component elements in the ML structure is proposed as a major technique to achieve the metrology demands. The strength of GI-EUVR analysis of MLs lies on its simultaneous sensitivity to optical and structural parameters. The proposed EUV metrology method is also capable of evaluating damage analysis of high intensity photons and/or energetic particles on ML performances. In combination with traditional ML characterization techniques, the GI-EUVR enabled characterization of the most challenging buried–interface diffusion processes during multilayer growth. Analysis of chemical composition and corresponding optical properties of inter-diffusion layers are performed near Si LIII - edge for Mo/Si and near elemental boron (B) edge for B4C/CeO2 MLs to demonstrate the robustness of the proposed method. Changes of structural and optical parameters of MLs for applications in astronomical telescopes due to the bombardment of helium particles (4 keV He++ ) that are dominant in the solar corona can be analyzed using the current techniques. Lastly, the thesis deals with the development of tabletop scatterometer experiment around 13.5 nm EUV wavelength based on Xenon gas discharge produced plasma source. EUV scattering measurements at 13.5 nm central wavelength and 2% bandwidth can be simple and fast surface roughness analysis in the mid-spatial frequency range. Surface patterns in the mid-spatial frequency ranges are resolved in the scattered signals from multilayer surfaces.
Multilayers, EUV and X-rays, Grazing incidence EUV reflectivity, buried -interfaces of multilayers, EUV lithography, Silicon-molybdnum
Development and Metrology of Extreme Ultraviolet and soft X-ray Multilayer Mirrors / Sertsu, Mewael Giday. - (2016 Feb 01).
File in questo prodotto:
File Dimensione Formato  
Sertsu_Mewael_Giday_Thesis.pdf

accesso aperto

Tipologia: Tesi di dottorato
Licenza: Non specificato
Dimensione 4.62 MB
Formato Adobe PDF
4.62 MB Adobe PDF Visualizza/Apri
Pubblicazioni consigliate

I documenti in IRIS sono protetti da copyright e tutti i diritti sono riservati, salvo diversa indicazione.

Utilizza questo identificativo per citare o creare un link a questo documento: https://hdl.handle.net/11577/3427120
Citazioni
  • ???jsp.display-item.citation.pmc??? ND
  • Scopus ND
  • ???jsp.display-item.citation.isi??? ND
social impact